Speaker
Description
Open-source design tools can play a very important role in the High-Energy Physics community. These tools offer a cost-effective alternative to proprietary EDA software, promoting reproducibility, collaboration, and long-term accessibility. This work presents a mixed comparison of three blocks — a Common-Mode Noise Filter (CMNN), Finite State Machine (FSM), and a VCO — designed using an open-source flow and synthesized for the IHP 130 nm open PDK where both the CMNN and the VCO were successfully taped out. A comparison of open-source and commercial design environments is also done, highlighting performance trade-offs, and their potential impact on the ASIC design.
Summary (500 words)
The use of open-source EDA tools arise in microelectronics as an alternative way to design chips in face of already established commercial tools; the open source flow using these EDA tools covers both digital and analog flows. This work presents a comparative study of different blocks implemented using the OpenLane digital flow, KLayout and Xschem, including a Common-Mode Noise Filter (CMNN), a Finite State Machine (FSM), and a Voltage Controlled Oscillator (VCO).
The CMNN was designed to suppress common-mode noise, particularly relevant in multi-channel acquisition systems. The FSM was implemented as a sequential control element, and the VCO was used as a process-variation-sensitive block, often applied in on-chip monitoring. Its schematic and final layout view are shown in Figure 1 and Figure 2, respectively. All blocks were synthesized using the IHP 130 nm open PDK, and the CMNN was successfully taped out through a multi-project wafer tapeout.
The main goals of this work were to perform a practical comparison between the design flows, specifically contrasting Cadence Genus/Innovus/Virtuoso with the open-source OpenLane flow and related EDA tools in HEP. For the digital blocks (CMNN and FSM), OpenLane proved effective in completing the RTL-to-GDSII flow, but required extensive manual tuning for floorplanning and timing closure—tasks more automated in commercial tools.. In contrast, the open-source tools offered full transparency of intermediate steps, which proved valuable for understanding and debugging the flow.
For the analog block (VCO), both Virtuoso and open tools like Xschem and Ngspice provided agility in schematic capture and functional simulation, but significant challenges were encountered during layout creation. Using open-source layout editors such as Klayout required manual device placement and routing with minimal automation support, lacking features like device matching, symmetry enforcement, and analog constraint propagation commonly available in Virtuoso. Additionally, alignment for parasitic minimization and hierarchical layout reuse were harder, increasing time to achieve quality comparable to commercial layouts. This experience highlighted the limitations of open-source flows for precision analog design, especially when tight layout constraints are critical. Nonetheless, the overall flow remained sufficient for proof-of-concept prototyping.
This dual perspective provided key insights into design efficiency, accessibility, and learning curve from both beginner and expert standpoints.
Each design was evaluated in terms of area, power consumption, timing closure, and user experience. A summary of the CMNN implementation is presented in Table 1. While OpenLane proved effective for educational and prototyping purposes, challenges were encountered in power optimization and advanced layout control — which are often more accessible in commercial flows.
The study demonstrates that open-source tools can offer a feasible pathway for early-stage ASIC development, training, and collaboration. The successful implementation of the CMNN (Figure 3a and 3b) confirms that a complete chip design can be realized with open flows and standard PDKs.
Furthermore, open-source adoption may help CERN increase the number of active EDA licenses, reduce dependency on proprietary systems, and enable international collaboration with institutions that lack access to commercial tools. These benefits align with CERN’s mission of open science and distributed global research.